尊敬的 微信汇率:1円 ≈ 0.046166 元 支付宝汇率:1円 ≈ 0.046257元 [退出登录]
SlideShare a Scribd company logo
1
FORMAT-1B
Scaling of MOS Circuits
2
CONTENTS
1. What is scaling?
2. Why scaling?
3. Figure(s) of Merit (FoM) for scaling
4. International Technology Roadmap for Semiconductors
(ITRS)
5. Scaling models
6. Scaling factors for device parameters
7. Implications of scaling on design
8. Limitations of scaling
9. Observations
10.Summary
3
Scaling of MOS Circuits
1.What is Scaling?
Proportional adjustment of the dimensions of an electronic device while
maintaining the electrical properties of the device, results in a device either larger or
smaller than the un-scaled device. Then Which way do we scale the devices for VLSI?
BIG and SLOW … or SMALL and FAST? What do we gain?
2.Why Scaling?...
Scale the devices and wires down, Make the chips ‘fatter’ – functionality, intelligence,
memory – and – faster, Make more chips per wafer – increased yield, Make the end user
Happy by giving more for less and therefore, make MORE MONEY!!
3.FoM for Scaling
Impact of scaling is characterized in terms of several indicators:
o Minimum feature size
o Number of gates on one chip
o Power dissipation
o Maximum operational frequency
o Die size
o Production cost
Many of the FoMs can be improved by shrinking the dimensions of transistors and
interconnections. Shrinking the separation between features – transistors and wires
Adjusting doping levels and supply voltages.
3.1 Technology Scaling
Goals of scaling the dimensions by 30%:
Reduce gate delay by 30% (increase operating frequency by 43%)
Double transistor density
Reduce energy per transition by 65% (50% power savings @ 43% increase in frequency)
Die size used to increase by 14% per generation
Technology generation spans 2-3 years
4
Figure1 to Figure 5 illustrates the technology scaling in terms of minimum feature size,
transistor count, prapogation delay, power dissipation and density and technology
generations.
1 9 6 0 1 9 7 0 1 9 8 0 1 9 9 0 2 0 0 0 2 0 1 0
1 0
-2
1 0
-1
1 0
0
1 0
1
1 0
2
Y e a r
MinimumFeatureSize(micron)
Figure-1:Technology Scaling (1)
Figure-2:Technology Scaling (2)
5
Propagation Delay
Figure-3:Technology Scaling (3)
(a) Power dissipation vs. year.
95908580
0.01
0.1
1
10
100
Year
PowerDissipation(W)
x4 / 3 years
MPU
DSP
x1.4 / 3 years
Scaling Factor κ
(normalized by 4 µm design rule )
101
1
10
100
1000
∝
κ
3
PowerDensity(mW/mm2)
∝ κ 0.7
(b) Power density vs. scaling factor.
Figure-4:Technology Scaling (4)
6
Technology Generations
Figure-5:Technology generation
4. International Technology Roadmap for Semiconductors (ITRS)
Table 1 lists the parameters for various technologies as per ITRS.
Table 1: ITRS
7
5.Scaling Models
Full Scaling (Constant Electrical Field)
Ideal model – dimensions and voltage scale together by the same scale factor
Fixed Voltage Scaling
Most common model until recently – only the dimensions scale, voltages remain constant
General Scaling
Most realistic for today’s situation – voltages and dimensions scale with different factors
6.Scaling Factors for Device Parameters
Device scaling modeled in terms of generic scaling factors:
1/α and 1/β
• 1/β: scaling factor for supply voltage VDD and gate oxide thickness D
• 1/α: linear dimensions both horizontal and vertical dimensions
Why is the scaling factor for gate oxide thickness different from other linear horizontal
and vertical dimensions? Consider the cross section of the device as in Figure 6,various
parameters derived are as follows.
Figure-6:Technology generation
8
• Gate area Ag
Where L: Channel length and W: Channel width and both are scaled by 1/α
Thus Ag is scaled up by 1/α2
• Gate capacitance per unit area Co or Cox
Cox = εox/D
Where εox is permittivity of gate oxide(thin-ox)= εinsεo and D is the gate oxide thickness
scaled by 1/β
Thus Cox is scaled up by
• Gate capacitance Cg
Thus Cg is scaled up by β* 1/ α2
=β/ α2
• Parasitic capacitance Cx
Cx is proportional to Ax/d
where d is the depletion width around source or drain and scaled by 1/ α
Ax is the area of the depletion region around source or drain, scaled by (1/ α2
).
Thus Cx is scaled up by {1/(1/α)}* (1/ α2
) =1/ α
• Carrier density in channel Qon
Qon = Co * Vgs
where Qon is the average charge per unit area in the ‘on’ state.
Co is scaled by β and Vgs is scaled by 1/ β
Thus Qon is scaled by 1
• Channel Resistance Ron
Where µ = channel carrier mobility and assumed constant
WLAg *=
β
β
=





 1
1
WLCC og **=
µ*
1
*
on
on
QW
L
R =
9
Thus Ron is scaled by 1.
• Gate delay Td
Td is proportional to Ron*Cg
Td is scaled by
• Maximum operating frequency fo
fo is inversely proportional to delay Td and is scaled by
• Saturation current Idss
Both Vgs and Vt are scaled by (1/ β). Therefore, Idss is scaled by
• Current density J
Current density, where A is cross sectional area of the
Channel in the “on” state which is scaled by (1/ α2
).
So, J is scaled by
• Switching energy per gate Eg
•
So Eg is scaled by
22
*
1
α
β
β
α
=
g
DDo
o
C
VC
L
W
f
µ
*=
β
α
α
β
2
2
1
=





( )2
**
2
tgs
o
dss VV
L
WC
I −=
µ
ββ
β
11
* 2
=





A
I
J dss
=
β
α
α
β 2
2
1
1
=
2
2
1
DDgg VCE =
βαβα
β
222
11
* =





10
• Power dissipation per gate Pg
Pg comprises of two components: static component Pgs and dynamic component Pgd:
Where, the static power component is given by:
And the dynamic component by:
Since VDD scales by (1/β) and Ron scales by 1, Pgs scales by (1/β2
).
Since Eg scales by (1/α2
β) and fo by (α2 /β), Pgd also scales by (1/β2
). Therefore, Pg
scales by (1/β2
).
• Power dissipation per unit area Pa
• Power – speed product PT
6.1 Scaling Factors …Summary
Various device parameters for different scaling models are listed in Table 2 below.
Table 2: Device parameters for scaling models
NOTE: for Constant E: β=α; for Constant V: β=1
gdgsg PPP +=
on
DD
gs
R
V
P
2
=
oggd fEP =
2
2
2
2
1
1
β
α
α
β
=












==
g
g
a
A
P
P
βαα
β
β 222
11
* =





== dgT TPP
Parameters Description
General
(Combined V
and
Dimension)
Constant E Constant V
VDD Supply voltage 1/β 1/α 1
L Channel length 1/α 1/α 1/α
W Channel width 1/α 1/α 1/α
D Gate oxide thickness 1/β 1/α 1
Ag Gate area 1/α
2
1/α
2
1/α
2
Co (or Cox)
Gate capacitance per
unit area
β α 1
Cg Gate capacitance β/α2
1/α 1/α
2
Cx Parsitic capacitance 1/α 1/α 1/α
Qon Carrier density 1 1 1
Ron Channel resistance 1 1 1
Idss Saturation current 1/β 1/α 1
11
7.Implications of Scaling
Improved Performance
Improved Cost
Interconnect Woes
Power Woes
Productivity Challenges
Physical Limits
Parameters Description
General
(Combined V
and
Dimension)
Constant E Constant V
Ac
Conductor cross
section area
1/α
2
1/α
2
1/α
2
J Current density α2
/ β α α2
Vg Logic 1 level 1 / β 1 / α 1
Eg Switching energy 1 / α2
β 1 / α3
1/α
2
Pg
Power dissipation per
gate
1 / β
2
1/α
2 1
N Gates per unit area α2
α2
α2
Pa
Power dissipation per
unit area
α2
/ β2
1 α2
Td Gate delay β / α2 1 / α 1/α
2
fo
Max. operating
frequency
α2
/ β α α2
PT Power speed product 1 / α2
β 1 / α3
1/α
2
12
7.1Cost Improvement
– Moore’s Law is still going strong as illustrated in Figure 7.
Figure-7:Technology generation
7.2:Interconnect Woes
• Scaled transistors are steadily improving in delay, but scaled wires are holding
constant or getting worse.
• SIA made a gloomy forecast in 1997
– Delay would reach minimum at 250 – 180 nm, then get
worse because of wires
• But…
• For short wires, such as those inside a logic gate, the wire RC delay is negligible.
• However, the long wires present a considerable challenge.
• Scaled transistors are steadily improving in delay, but scaled wires are holding
constant or getting worse.
• SIA made a gloomy forecast in 1997
– Delay would reach minimum at 250 – 180 nm, then get
worse because of wires
• But…
• For short wires, such as those inside a logic gate, the wire RC delay is negligible.
• However, the long wires present a considerable challenge.
Figure 8 illustrates delay Vs. generation in nm for different materials.
Figure-8:Technology generation
13
7.3 Reachable Radius
• We can’t send a signal across a large fast chip in one cycle anymore
• But the microarchitect can plan around this as shown in Figure 9.
– Just as off-chip memory latencies were tolerated
Figure-9:Technology generation
7.4 Dynamic Power
• Intel VP Patrick Gelsinger (ISSCC 2001)
– If scaling continues at present pace, by 2005, high speed processors would
have power density of nuclear reactor, by 2010, a rocket nozzle, and by
2015, surface of sun.
– “Business as usual will not work in the future.”
• Attention to power is increasing(Figure 10)
Chip size
Scaling of
reachable radius
14
Figure-10:Technology generation
7.5 Static Power
• VDD decreases
– Save dynamic power
– Protect thin gate oxides and short channels
– No point in high value because of velocity saturation.
• Vt must decrease to maintain device performance
• But this causes exponential increase in OFF leakage
A Major future challenge(Figure 11)
Moore(03)
Figure-11:Technology generation
15
7.6 Productivity
• Transistor count is increasing faster than designer productivity (gates / week)
– Bigger design teams
• Up to 500 for a high-end microprocessor
– More expensive design cost
– Pressure to raise productivity
• Rely on synthesis, IP blocks
– Need for good engineering managers
7.7 Physical Limits
o Will Moore’s Law run out of steam?
Can’t build transistors smaller than an atom…
o Many reasons have been predicted for end of scaling
Dynamic power
Sub-threshold leakage, tunneling
Short channel effects
Fabrication costs
Electro-migration
Interconnect delay
o Rumors of demise have been exaggerated
8. Limitations of Scaling
Effects, as a result of scaling down- which eventually become severe enough to prevent
further miniaturization.
o Substrate doping
o Depletion width
o Limits of miniaturization
16
o Limits of interconnect and contact resistance
o Limits due to sub threshold currents
o Limits on logic levels and supply voltage due to noise
o Limits due to current density
8.1 Substrate doping
o Substrate doping
o Built-in(junction) potential VB depends on substrate doping level – can be
neglected as long as VB is small compared to VDD.
o As length of a MOS transistor is reduced, the depletion region width –scaled
down to prevent source and drain depletion region from meeting.
o the depletion region width d for the junctions is
o ε si relative permittivity of silicon
o ε 0 permittivity of free space(8.85*10-14
F/cm)
o V effective voltage across the junction Va + Vb
o q electron charge
o NB doping level of substrate
o Va maximum value Vdd-applied voltage
o Vb built in potential and
8.2 Depletion width
• N B is increased to reduce d , but this increases threshold voltage Vt -against
trends for scaling down.
• Maximum value of N B (1.3*1019
cm-3
, at higher values, maximum electric field
applied to gate is insufficient and no channel is formed.
• N B maintained at satisfactory level in the channel region to reduce the above
problem.
• Emax maximum electric field induced in the junction.
1
2 0V
Nq
d
B
si ξξ
=






=
i
D
i
B
B
n
N
n
N
q
KT
V ln
d
V
E
2
max =
α
αln
17
If N B is inreased by α Va =0 Vb increased by ln α and d is decreased by
• Electric field across the depletion region is increased by
1/
• Reach a critical level Ecrit with increasing N B
Where
Figure 12 , Figure 13 and Figure 14 shows the relation between substrate concentration
Vs depletion width , Electric field and transit time.
Figure 15 demonstrates the interconnect length Vs. propagation delay and Figure 16
oxide thickness Vs. thermal noise.
Figure-12:Technology generation
α
αln






=
2
2 .dE
Nq
d crit
B
si
ξ
ξ
)(0
crit
B
si
E
Nq
d
ξξ
=
18
Figure-13:Technology generation
8.3 Limits of miniaturization
• minimum size of transistor; process tech and physics of the device
• Reduction of geometry; alignment accuracy and resolution
• Size of transistor measured in terms of channel length L
L=2d (to prevent push through)
• L determined by NB and Vdd
• Minimum transit time for an electron to travel from source to drain is
• smaximum carrier drift velocity is approx. Vsat,regardless of supply voltage
Evdrift µ=
E
d
V
L
t
drift µ
2
==
19
Figure-14:Technology generation
8.4 Limits of interconnect and contact resistance
• Short distance interconnect- conductor length is scaled by 1/α and resistance is
increased by α
• For constant field scaling, I is scaled by 1/ α so that IR drop remains constant as a
result of scaling.-driving capability/noise margin.
20
Figure-15:Technology generation
8.5 Limits due to subthreshold currents
• Major concern in scaling devices.
• I sub is directly praportinal exp (Vgs – Vt ) q/KT
• As voltages are scaled down, ratio of Vgs-Vt to KT will reduce-so that threshold
current increases.
• Therefore scaling Vgs and Vt together with Vdd .
• Maximum electric field across a depletion region is
8.6 Limits on supply voltage due to noise
Decreased inter-feature spacing and greater switching speed –result in noise problems
{ } dVVE ba /2max +=
21
Figure-16:Technology generation
9. Observations – Device scaling
o Gate capacitance per micron is nearly independent of process
o But ON resistance * micron improves with process
o Gates get faster with scaling (good)
o Dynamic power goes down with scaling (good)
o Current density goes up with scaling (bad)
o Velocity saturation makes lateral scaling unsustainable
9.1 Observations – Interconnect scaling
o Capacitance per micron is remaining constant
o About 0.2 fF/mm
o Roughly 1/10 of gate capacitance
o Local wires are getting faster
o Not quite tracking transistor improvement
o But not a major problem
o Global wires are getting slower
o No longer possible to cross chip in one cycle
10. Summary
• Scaling allows people to build more complex machines
– That run faster too
• It does not to first order change the difficulty of module design
22
– Module wires will get worse, but only slowly
– You don’t think to rethink your wires in your adder, memory
Or even your super-scalar processor core
• It does let you design more modules
• Continued scaling of uniprocessor performance is getting hard
-Machines using global resources run into wire limitations
-Machines will have to become more explicitly parallel
23
CMOS SUBSYSTEM DESIGN
24
CONTENTS
1. System
2. VLSI design flow
3. Structured design approach
4. Architectural issues
5. MOSFET as switch for logic functionality
6. Circuit Families
Restoring Logic: CMOS and its variants - NMOS and Bi CMOS
Other circuit variants
NMOS gates with depletion (zero -threshold) pull up
Bi-CMOS gates
7. Switch logic: Pass Transistor and Transmission gate (TG)
8. Examples of Structured Design
MUX
DMUX
D Latch and Flop
A general logic function block
25
1.What is a System?
A system is a set of interacting or interdependent entities forming and integrate whole.
Common characteristics of a system are
o Systems have structure - defined by parts and their composition
o Systems have behavior – involves inputs, processing and outputs (of material,
information or energy)
o Systems have interconnectivity the various parts of the system functional as well
as structural relationships between each other
1.1Decomposition of a System: A Processor
o
5. VLSI Design Flow
• The electronics industry has achieved a phenomenal growth –mainly due to the
rapid advances in integration technologies, large scale systems design-in short due
to VLSI.
• Number applications of integrated circuits in high-performance computing,
telecommunications, and consumer electronics has been rising steadily.
• Current leading-edge technology trend –expected to continue with very important
implications on VLSI and systems design.
• The design process, at various levels, is evolutionary in nature.
• Y-Chart (first introduced by D. Gajski) as shown in Figure1 illustrates the design
flow for mast logic chips, using design activities.
• Three different axes (domains) which resemble the letter Y.
• Three major domains, namely
Behavioral domain
Structural domain
26
Geometrical domain
• Design flow starts from the algorithm that describes the behavior
of target chip.
Figure 1. Typical VLSI design flow in three domains(Y-chart)
VLSI design flow, taking in to account the various representations, or abstractions of
design are
Behavioural,logic,circuit and mask layout.
Verification of design plays very important role in every step during process.
Two approaches for design flow as shown in Figure 2 are
Top-down
Bottom-up
Top-down design flow- excellent design process control
In reality, both top-down and bottom-up approaches have to be combined.
Figure 3 explains the typical full custom design flow.
27
Figure 2. Typical VLSI design flow
28
Figure 3. Typical ASIC/Custom design flow
29
3 Structured Design Approach
• Design methodologies and structured approaches developed with complex
hardware and software.
• Regardless of the actual size of the project, basic principles of structured design-
improve the prospects of success.
• Classical techniques for reducing the complexity of IC design are:
Hierarchy
Regularity
Modularity
Locality
30
Figure 4-Structured Design Approach –Hierarchy
Figure5-.Structured Design Approach –Regularity
31
• Design of array structures consisting of identical cells.-such as parallel
multiplication array.
• Exist at all levels of abstraction:
transistor level-uniformly sized.
logic level- identical gate structures
• 2:1 MUX, D-F/F- inverters and tri state buffers
• Library-well defined and well-characterized basic building block.
• Modularity: enables parallelization and allows plug-and-play
• Locality: Internals of each module unimportant to exterior modules and internal
details remain at local level.
Figure 4 and Figure 5 illustrates these design approaches with an example.
4 Architectural issues
• Design time increases exponentially with increased complexity
• Define the requirements
• Partition the overall architecture into subsystems.
• Consider the communication paths
• Draw the floor plan
• Aim for regularity and modularity
• convert each cell into layout
• Carry out DRC check and simulate the performance
5. MOSFET as a Switch
32
• We can view MOS transistors as electrically controlled switches
• Voltage at gate controls path from source to drain
5.1 Parallel connection of Switches..
33
5.2 Series connection of Switches..
5.3 Series and parallel connection of Switches..
(a)
a
b
a
b
g1
g2
0
0
a
b
0
1
a
b
1
0
a
b
1
1
OFF OFF OFF ON
(b)
a
b
a
b
g1
g2
0
0
a
b
0
1
a
b
1
0
a
b
1
1
ON OFF OFF OFF
(c)
a
b
a
b
g1 g2 0 0
OFF ON ON ON
(d) ON ON ON OFF
a
b
0
a
b
1
a
b
11 0 1
a
b
0 0
a
b
0
a
b
1
a
b
11 0 1
a
b
g1 g2
34
6. Circuit Families : Restoring logic
CMOS INVERTER
A Y
0
1
A Y
0
1 0
A Y
0 1
1 0
A Y
A Y
A Y
V DD
A Y
GND
V DD
A= 1 Y= 0
GND
ON
OFF
VDD
A=0 Y=1
GND
OFF
ON
35
6.1 NAND gate Design..
36
A B Y
0 0 1
0 1
1 0
1 1
A B Y
0 0 1
0 1 1
1 0
1 1
A B Y
0 0 1
0 1 1
1 0 1
1 1 0
NAND gate Design..
A=0
B=0
Y=1
OFF
ON ON
OFF
A=0
B=1
Y=1
OFF
OFF ON
ON
A=1
B=0
Y=1
ON
ON OFF
OFF
37
6.2 NOR gate Design..
A
B
Y
C
38
CMOS INVERTER
A
B
C
D
Y
39
6.3 CMOS Properties
Pull-up OFF Pull-up ON
Pull-down OFF Z (float) 1
Pull-down ON 0 X (crowbar)
• Complementary CMOS gates always produce 0 or 1
• Ex: NAND gate
• Series nMOS: Y=0 when both inputs are 1
• Thus Y=1 when either input is 0
• Requires parallel pMOS
• Rule of Conduction Complements
pMOS
pull-up
network
output
inputs
nMOS
pull-down
network
40
• Pull-up network is complement of pull-down
• Parallel -> series, series -> parallel
• Output signal strength is independent of input.-level restoring
• Restoring logic. Ouput signal strength is either Voh (output high) or Vol. (output
low).
• Ratio less logic :output signal strength is independent of pMOS device size to
nMOS size ratio.
• significant current only during the transition from one state to another and - hence
power is conserved..
• Rise and fall transition times are of the same order,
• Very high levels of integration,
• High performance.
6.4 Complex gates..
41
42
43
6.5 Complex gates AOI..
(AND-AND-OR-INVERT, AOI22)Y A B C D= +
A
B
C
D
A
B
C
D
A B C D
A B
C D
B
D
Y
A
C
A
C
A
B
C
D
B
D
Y
(a)
(c)
(e)
(b)
(d)
(f)
( )Y A B C D= + +
A B
Y
C
D
DC
B
A
A
B
C
D
Y
A
B
C
Y
A
B
C
C
A B
A
B
C
D
A
C
B
D
2
2
1
4
44
2
2 2
2
4
4 4
4
gA
= 6/3
gB = 6/3
gC = 5/3
p = 7/3
gA
= 6/3
gB = 6/3
gC = 6/3
p = 12/3
gD = 6/3
YA
A Y
gA
= 3/3
p = 3/3
2
1
YY
unit inverter AOI21 AOI22
A
C
D
E
Y
B
Y
B C
A
D
E
A
B
C
D E
gA
= 5/3
gB = 8/3
gC = 8/3
gD = 8/3
2
2 2
22
6
6
6 6
3
p = 16/3
gE
= 8/3
Complex AOI
Y A B C= + Y A B C D= + ( )Y A B C D E= + +Y A=
44
6.6 Circuit Families : Restoring logic CMOS Inverter- Stick diagram
6.7 Restoring logic CMOS Variants: nMOS Inverter-stick diagram
• Basic inverter circuit: load replaced by depletion mode transistor
• With no current drawn from output, the current Ids for both transistor must
be same.
• For the depletion mode transistor, gate is connected to the source so it is
always on and only the characteristic curve Vgs=0 is relevant.
45
• Depletion mode is called pull-up and the enhancement mode device pull-
down.
• Obtain the transfer characteristics.
• As Vin exceeds the p.d. threshold voltage current begins to flow, Vout thus
decreases and further increase will cause p.d transistor to come out of
saturation and become resistive.
• p.u transistor is initially resistive as the p.d is turned on.
• Point at which Vout = Vin is denoted as Vinv
• Can be shifted by variation of the ratio of pull-up to pull-down resistances
–Zp.u / Zp.d
• Z- ratio of channel length to width for each transistor
For 8:1 nMOS Inverter
Z p.u. = L p.u. / W p.u =8
R p.u = Z p.u. * Rs =80K
similarly
R p.d = Z p.d * Rs =10K
Power dissipation(on) Pd = V2
/Rp.u + Rp.d =0.28mV
Input capacitance = 1 Cg
For 4:1 nMOS Inverter
Z p.u. = L p.u. / W p.u =4
R p.u = Z p.u. * Rs =40K
similarly
R p.d = Z p.d * Rs =5K
Power dissipation(on) Pd = V2
/Rp.u + Rp.d =0.56mV
Input capacitance = 2Cg
46
6.8Restoring logic CMOS Variants: BiCMOS Inverter-stick diagram
• A known deficiency of MOS technology is its limited load driving capabilities
(due to limited current sourcing and sinking abilities of pMOS and nMOS
transistors. )
• Output logic levels good-close to rail voltages
• High input impedance
• Low output impedance
• High drive capability but occupies a relatively small area.
• High noise margin
• Bipolar transistors have
• higher gain
• better noise characteristics
• better high frequency characteristics
• BiCMOS gates can be an efficient way of speeding up VLSI circuits
• CMOS fabrication process can be extended for BiCMOS
• Example Applications
CMOS- Logic
BiCMOS- I/O and driver circuits
ECL- critical high speed parts of the system
47
6.9 Circuit Families : Restoring logic CMOS NAND gate
6.10 Restoring logic CMOS Variants: nMOS NAND gate
48
6.11 Restoring logic CMOS Variants: BiCMOS NAND gate
• For nMOS Nand-gate, the ratio between pull-up and sum of all pull-downs must
be 4:1.
• nMOS Nand-gate area requirements are considerably greater than corresponding
nMOS inverter
• nMOS Nand-gate delay is equal to number of input times inverter delay.
• Hence nMOS Nand-gates are used very rarely
• CMOS Nand-gate has no such restrictions
• BiCMOS gate is more complex and has larger fan-out.
7.Circuit Families :Switch logic: Pass Transistor
49
7.1 Switch logic: Pass Transistor
g
s d
g = 0
s d
g = 1
s d
0 strong 0
Input Output
1 degraded 1
g
s d
g = 0
s d
g = 1
0 degraded 0
Input Output
g = 1
g = 1
g = 0
g = 0
50
7.1 Switch logic: Pass Transistor-nMOS in series
7.2 :Switch logic: Transmission gates
VDD
VDD
Vs
=VDD
-Vtn
VSS
Vs
=|Vtp
|
VDD
VDD
-Vtn VDD-Vtn
VDD
-Vtn
VDD
VDD
VDD
VDD
VDD
VDD
-Vtn
VDD
-2Vtn
51
g=0, gb=1
a b
g=1, gb=0
a b
0 strong0
Input Output
1 strong1
g
gb
a b
a b
g
gb
a b
g
gb
a b
g
gb
g=1, gb=0
g=1, gb=0
52
8 Structured Design-Tristate
• Tristate buffer produces Z when not enabled
EN A Y
0 0
0 1
1 0
1 1
Tristate buffer produces Z when not enabled
EN A Y
0 0 Z
0 1 Z
1 0 0
1 1 1
8.1 Structured Design-Nonrestoring Tristate
A Y
E N
A Y
E N
E N
A Y
EN
EN
53
8.3 Structured Design-Tristate Inverter
• Tristate inverter produces restored output
– Violates conduction complement rule
– Because we want a Z output
A
Y
EN
EN
A
Y
EN
A
Y
EN=0
Y='Z'
Y
EN=1
Y=A
A
EN
54
8.4 Structured Design-Multiplexers
• 2:1 multiplexer chooses between two inputs
8. 5 Structured Design-Mux Design.. Gate-Level
• How many transistors are needed?
• How many transistors are needed? 20
S D1 D0 Y
0 X 0 0
0 X 1 1
1 0 X 0
1 1 X 1
S D1 D0 Y
0 X 0
0 X 1
1 0 X
1 1 X
0
1
S
D0
D1
Y
1 0 (toomanytransistors)Y SD SD= +
4
D1
D0
S Y
2D1
55
8.6 Structured Design-Mux Design-Transmission Gate
• Nonrestoring mux uses two transmission gates
– Only 4 transistors
Inverting Mux
• Inverting multiplexer
– Use compound AOI22
– Or pair of tristate inverters
• Noninverting multiplexer adds an inverter
S
S
D0
D1
YS
S
D0 D1
Y
S
D0
D1
Y
0
1
S
Y
D0
D1
S
S
S
S
S
S
56
8.7 Design-4:1 Multiplexer
• 4:1 mux chooses one of 4 inputs using two selects
Two levels of 2:1 muxes
Or four tristates
9 Structured Design-D Latch
• When CLK = 1, latch is transparent
– D flows through to Q like a buffer
• When CLK = 0, the latch is opaque
– Q holds its old value independent of D
• a.k.a. transparent latch or level-sensitive latch
-a latch is level sensitive
– a register is edge-triggered
– A flip-flop is a bi-stable element
–
S0
D0
D1
0
1
0
1
0
1
Y
S1
D2
D3
D0
D1
D2
D3
Y
S1S0 S1S0 S1S0 S1S0
CLK
D Q
Latch
D
CLK
Q
57
–
9.1 D Latch Design
• Multiplexer chooses D or old Q
9.2 D Latch Operation
1
0
D
CLK
Q
CLK
CLKCLK
CLK
DQ Q
Q
CLK = 1
D Q
Q
CLK = 0
D Q
Q
58
Structured Design-Latch Design
• Inverting buffer
Restoring
No backdriving
Fixes either
Output noise sensitivity
Or diffusion input
Inverted output
9.3 Structured Design-D Flip-flop
• When CLK rises, D is copied to Q
• At all other times, Q holds its value
• a.k.a. positive edge-triggered flip-flop, master-slave flip-flop
• Structured Design-D Flip-flop Design
• Built from master and slave D latches
D
φ
φ
X
Q
D Q
φ
φ
Flop
CLK
D Q
D
CLK
Q
QM
CLK
CLKCLK
CLK
Q
CLK
CLK
CLK
CLK
D
Latch
Latch
D Q
QM
CLK
CLK
59
9.4 D Flip-flop Operation
9.5 Race Condition
• Back-to-back flops can malfunction from clock skew
– Second flip-flop fires late
– Sees first flip-flop change and captures its result
– Called hold-time failure or race condition
CLK = 1
D
CLK = 0
Q
D
QM
QM
Q
D
CLK
Q
CLK1
D
Q1
Flop
Flop
CLK2
Q2
CLK1
CLK2
Q1
Q2

More Related Content

What's hot

125
125125
Cn32556558
Cn32556558Cn32556558
Cn32556558
IJERA Editor
 
Ch08
Ch08Ch08
Chapter 8 switching -computer_network
Chapter 8   switching -computer_networkChapter 8   switching -computer_network
Chapter 8 switching -computer_network
Dhairya Joshi
 
Front end buck rectifier with reduced filter size and single-loop control
Front end buck rectifier with reduced filter size and single-loop controlFront end buck rectifier with reduced filter size and single-loop control
Front end buck rectifier with reduced filter size and single-loop control
Asoka Technologies
 
Analytical modeling of electric field distribution in dual material junctionl...
Analytical modeling of electric field distribution in dual material junctionl...Analytical modeling of electric field distribution in dual material junctionl...
Analytical modeling of electric field distribution in dual material junctionl...
VLSICS Design
 
Properties of Self-Aligned Short-Channel Graphene Field-Effect Transistors Ba...
Properties of Self-Aligned Short-Channel Graphene Field-Effect Transistors Ba...Properties of Self-Aligned Short-Channel Graphene Field-Effect Transistors Ba...
Properties of Self-Aligned Short-Channel Graphene Field-Effect Transistors Ba...
Abidur Rahman
 
05617901
0561790105617901
Machine learning based ra ts selection for multi connectivity fo reliability ...
Machine learning based ra ts selection for multi connectivity fo reliability ...Machine learning based ra ts selection for multi connectivity fo reliability ...
Machine learning based ra ts selection for multi connectivity fo reliability ...
Klaus Moessner
 
Effect of Passive Damping on the Performance of Buck Converter for Magnet Load
Effect of Passive Damping on the Performance of Buck Converter for Magnet LoadEffect of Passive Damping on the Performance of Buck Converter for Magnet Load
Effect of Passive Damping on the Performance of Buck Converter for Magnet Load
paperpublications3
 
Proportional Resonant Controlled Dual Active Bridge DC to AC Converter System...
Proportional Resonant Controlled Dual Active Bridge DC to AC Converter System...Proportional Resonant Controlled Dual Active Bridge DC to AC Converter System...
Proportional Resonant Controlled Dual Active Bridge DC to AC Converter System...
International Journal of Power Electronics and Drive Systems
 
Design and Simulation of a Fractal Micro-Transformer
Design and Simulation of a Fractal Micro-TransformerDesign and Simulation of a Fractal Micro-Transformer
Design and Simulation of a Fractal Micro-Transformer
IJERA Editor
 
Ds32738741
Ds32738741Ds32738741
Ds32738741
IJERA Editor
 
Power mosfet
Power mosfetPower mosfet
Power mosfet
Rashmee Patil
 
De35589591
De35589591De35589591
De35589591
IJERA Editor
 
Simplified cascade multiphase DC-DC buck power converter for low voltage larg...
Simplified cascade multiphase DC-DC buck power converter for low voltage larg...Simplified cascade multiphase DC-DC buck power converter for low voltage larg...
Simplified cascade multiphase DC-DC buck power converter for low voltage larg...
International Journal of Power Electronics and Drive Systems
 
A zero voltage-transition bidirectional dcdc converter
A zero voltage-transition bidirectional dcdc converterA zero voltage-transition bidirectional dcdc converter
A zero voltage-transition bidirectional dcdc converter
LeMeniz Infotech
 
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless NetworksEnhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
IJRES Journal
 
An Improved Hybrid DSTATCOM Topology to Compensate Reactive and Nonlinear Loads
An Improved Hybrid DSTATCOM Topology to Compensate Reactive and Nonlinear LoadsAn Improved Hybrid DSTATCOM Topology to Compensate Reactive and Nonlinear Loads
An Improved Hybrid DSTATCOM Topology to Compensate Reactive and Nonlinear Loads
Projectsatbangalore
 

What's hot (19)

125
125125
125
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
Ch08
Ch08Ch08
Ch08
 
Chapter 8 switching -computer_network
Chapter 8   switching -computer_networkChapter 8   switching -computer_network
Chapter 8 switching -computer_network
 
Front end buck rectifier with reduced filter size and single-loop control
Front end buck rectifier with reduced filter size and single-loop controlFront end buck rectifier with reduced filter size and single-loop control
Front end buck rectifier with reduced filter size and single-loop control
 
Analytical modeling of electric field distribution in dual material junctionl...
Analytical modeling of electric field distribution in dual material junctionl...Analytical modeling of electric field distribution in dual material junctionl...
Analytical modeling of electric field distribution in dual material junctionl...
 
Properties of Self-Aligned Short-Channel Graphene Field-Effect Transistors Ba...
Properties of Self-Aligned Short-Channel Graphene Field-Effect Transistors Ba...Properties of Self-Aligned Short-Channel Graphene Field-Effect Transistors Ba...
Properties of Self-Aligned Short-Channel Graphene Field-Effect Transistors Ba...
 
05617901
0561790105617901
05617901
 
Machine learning based ra ts selection for multi connectivity fo reliability ...
Machine learning based ra ts selection for multi connectivity fo reliability ...Machine learning based ra ts selection for multi connectivity fo reliability ...
Machine learning based ra ts selection for multi connectivity fo reliability ...
 
Effect of Passive Damping on the Performance of Buck Converter for Magnet Load
Effect of Passive Damping on the Performance of Buck Converter for Magnet LoadEffect of Passive Damping on the Performance of Buck Converter for Magnet Load
Effect of Passive Damping on the Performance of Buck Converter for Magnet Load
 
Proportional Resonant Controlled Dual Active Bridge DC to AC Converter System...
Proportional Resonant Controlled Dual Active Bridge DC to AC Converter System...Proportional Resonant Controlled Dual Active Bridge DC to AC Converter System...
Proportional Resonant Controlled Dual Active Bridge DC to AC Converter System...
 
Design and Simulation of a Fractal Micro-Transformer
Design and Simulation of a Fractal Micro-TransformerDesign and Simulation of a Fractal Micro-Transformer
Design and Simulation of a Fractal Micro-Transformer
 
Ds32738741
Ds32738741Ds32738741
Ds32738741
 
Power mosfet
Power mosfetPower mosfet
Power mosfet
 
De35589591
De35589591De35589591
De35589591
 
Simplified cascade multiphase DC-DC buck power converter for low voltage larg...
Simplified cascade multiphase DC-DC buck power converter for low voltage larg...Simplified cascade multiphase DC-DC buck power converter for low voltage larg...
Simplified cascade multiphase DC-DC buck power converter for low voltage larg...
 
A zero voltage-transition bidirectional dcdc converter
A zero voltage-transition bidirectional dcdc converterA zero voltage-transition bidirectional dcdc converter
A zero voltage-transition bidirectional dcdc converter
 
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless NetworksEnhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
Enhancing Survivability, Lifetime, and Energy Efficiency of Wireless Networks
 
An Improved Hybrid DSTATCOM Topology to Compensate Reactive and Nonlinear Loads
An Improved Hybrid DSTATCOM Topology to Compensate Reactive and Nonlinear LoadsAn Improved Hybrid DSTATCOM Topology to Compensate Reactive and Nonlinear Loads
An Improved Hybrid DSTATCOM Topology to Compensate Reactive and Nonlinear Loads
 

Viewers also liked

Map
MapMap
Digital Commernce
Digital Commernce Digital Commernce
Digital Commernce
The Mobile Century
 
Power of Sale Properties
Power of Sale PropertiesPower of Sale Properties
Power of Sale Properties
evaflores090
 
El proceso Inductivo y deductivo
El proceso Inductivo y deductivoEl proceso Inductivo y deductivo
El proceso Inductivo y deductivo
Mario Fernando Reyes Archila
 
Trabajo de lengua Quijote, tarea 4
Trabajo de lengua Quijote, tarea 4Trabajo de lengua Quijote, tarea 4
Trabajo de lengua Quijote, tarea 4
NoJuSe
 
Modelling of next zen memory cell using low power consuming high speed nano d...
Modelling of next zen memory cell using low power consuming high speed nano d...Modelling of next zen memory cell using low power consuming high speed nano d...
Modelling of next zen memory cell using low power consuming high speed nano d...
eSAT Journals
 
Law Yew Wai
Law Yew WaiLaw Yew Wai
Law Yew Wai
Yew Wai Law
 
Sintesis%20de%20biologia[2]
Sintesis%20de%20biologia[2]Sintesis%20de%20biologia[2]
Sintesis%20de%20biologia[2]
alexis1296
 
Paper of Tahesin Samira Delwar_ IEEE Conf 2014
Paper of Tahesin Samira Delwar_ IEEE  Conf 2014Paper of Tahesin Samira Delwar_ IEEE  Conf 2014
Paper of Tahesin Samira Delwar_ IEEE Conf 2014
TAHESIN SAMIRA DELWAR
 
Damage to-property-before-closing
Damage to-property-before-closingDamage to-property-before-closing
Damage to-property-before-closing
evaflores090
 
The Heat Is On
The Heat Is OnThe Heat Is On
The Heat Is On
Suyogjosh16
 
Modulo III actividad 1
Modulo III actividad 1Modulo III actividad 1
Modulo III actividad 1
Marco Antonio Janampa Montes
 
EGGER Worktops Contemporary Collection
EGGER Worktops Contemporary CollectionEGGER Worktops Contemporary Collection
EGGER Worktops Contemporary Collection
EGGER UK
 
G017524547
G017524547G017524547
G017524547
IOSR Journals
 

Viewers also liked (15)

Map
MapMap
Map
 
Digital Commernce
Digital Commernce Digital Commernce
Digital Commernce
 
Power of Sale Properties
Power of Sale PropertiesPower of Sale Properties
Power of Sale Properties
 
El proceso Inductivo y deductivo
El proceso Inductivo y deductivoEl proceso Inductivo y deductivo
El proceso Inductivo y deductivo
 
Trabajo de lengua Quijote, tarea 4
Trabajo de lengua Quijote, tarea 4Trabajo de lengua Quijote, tarea 4
Trabajo de lengua Quijote, tarea 4
 
Modelling of next zen memory cell using low power consuming high speed nano d...
Modelling of next zen memory cell using low power consuming high speed nano d...Modelling of next zen memory cell using low power consuming high speed nano d...
Modelling of next zen memory cell using low power consuming high speed nano d...
 
Law Yew Wai
Law Yew WaiLaw Yew Wai
Law Yew Wai
 
Sintesis%20de%20biologia[2]
Sintesis%20de%20biologia[2]Sintesis%20de%20biologia[2]
Sintesis%20de%20biologia[2]
 
Paper of Tahesin Samira Delwar_ IEEE Conf 2014
Paper of Tahesin Samira Delwar_ IEEE  Conf 2014Paper of Tahesin Samira Delwar_ IEEE  Conf 2014
Paper of Tahesin Samira Delwar_ IEEE Conf 2014
 
Damage to-property-before-closing
Damage to-property-before-closingDamage to-property-before-closing
Damage to-property-before-closing
 
The Heat Is On
The Heat Is OnThe Heat Is On
The Heat Is On
 
TRANSCRIPT MBA
TRANSCRIPT MBATRANSCRIPT MBA
TRANSCRIPT MBA
 
Modulo III actividad 1
Modulo III actividad 1Modulo III actividad 1
Modulo III actividad 1
 
EGGER Worktops Contemporary Collection
EGGER Worktops Contemporary CollectionEGGER Worktops Contemporary Collection
EGGER Worktops Contemporary Collection
 
G017524547
G017524547G017524547
G017524547
 

Similar to Cmos vlsi nalini

ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
cscpconf
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
IRJET Journal
 
MOSFET_Scaling0803.ppt
MOSFET_Scaling0803.pptMOSFET_Scaling0803.ppt
MOSFET_Scaling0803.ppt
RevathiMohan14
 
MOSFET_Scaling5012.ppt
MOSFET_Scaling5012.pptMOSFET_Scaling5012.ppt
MOSFET_Scaling5012.ppt
mohan134666
 
High performance domino full adder design under different body biased technology
High performance domino full adder design under different body biased technologyHigh performance domino full adder design under different body biased technology
High performance domino full adder design under different body biased technology
IAEME Publication
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
Anil Yadav
 
Design and simulation of cmos ota
Design and simulation of cmos otaDesign and simulation of cmos ota
Design and simulation of cmos ota
ijmpict
 
PARASITIC-AWARE FULL PHYSICAL CHIP DESIGN OF LNA RFIC AT 2.45GHZ USING IBM 13...
PARASITIC-AWARE FULL PHYSICAL CHIP DESIGN OF LNA RFIC AT 2.45GHZ USING IBM 13...PARASITIC-AWARE FULL PHYSICAL CHIP DESIGN OF LNA RFIC AT 2.45GHZ USING IBM 13...
PARASITIC-AWARE FULL PHYSICAL CHIP DESIGN OF LNA RFIC AT 2.45GHZ USING IBM 13...
Ilango Jeyasubramanian
 
Analog and digital circuit design in 65 nm CMOS end of the road.docx
Analog and digital circuit design in 65 nm CMOS end of the road.docxAnalog and digital circuit design in 65 nm CMOS end of the road.docx
Analog and digital circuit design in 65 nm CMOS end of the road.docx
ZHKhan15
 
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
IJERA Editor
 
IRJET- Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET-  	  Simulation of 10nm Double Gate MOSFET using Visual TCAD ToolIRJET-  	  Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET- Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET Journal
 
VLSIM2.pptx
VLSIM2.pptxVLSIM2.pptx
VLSIM2.pptx
savithaj5
 
ICIECA 2014 Paper 23
ICIECA 2014 Paper 23ICIECA 2014 Paper 23
Ch7 lecture slides Chenming Hu Device for IC
Ch7 lecture slides Chenming Hu Device for ICCh7 lecture slides Chenming Hu Device for IC
Ch7 lecture slides Chenming Hu Device for IC
Chenming Hu
 
P1121110526
P1121110526P1121110526
P1121110526
Ashraf Aboshosha
 
Doering
DoeringDoering
Doering
guest2ac6ed
 
mosfet scaling_
mosfet scaling_mosfet scaling_
mosfet scaling_
Web Design & Development
 
Lect2 up110 (100324)
Lect2 up110 (100324)Lect2 up110 (100324)
Lect2 up110 (100324)
aicdesign
 
A Low Noise Two Stage Operational Amplifier on 45nm CMOS Process
A Low Noise Two Stage Operational Amplifier on 45nm CMOS ProcessA Low Noise Two Stage Operational Amplifier on 45nm CMOS Process
A Low Noise Two Stage Operational Amplifier on 45nm CMOS Process
IRJET Journal
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
Javed G S, PhD
 

Similar to Cmos vlsi nalini (20)

ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
MOSFET_Scaling0803.ppt
MOSFET_Scaling0803.pptMOSFET_Scaling0803.ppt
MOSFET_Scaling0803.ppt
 
MOSFET_Scaling5012.ppt
MOSFET_Scaling5012.pptMOSFET_Scaling5012.ppt
MOSFET_Scaling5012.ppt
 
High performance domino full adder design under different body biased technology
High performance domino full adder design under different body biased technologyHigh performance domino full adder design under different body biased technology
High performance domino full adder design under different body biased technology
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 
Design and simulation of cmos ota
Design and simulation of cmos otaDesign and simulation of cmos ota
Design and simulation of cmos ota
 
PARASITIC-AWARE FULL PHYSICAL CHIP DESIGN OF LNA RFIC AT 2.45GHZ USING IBM 13...
PARASITIC-AWARE FULL PHYSICAL CHIP DESIGN OF LNA RFIC AT 2.45GHZ USING IBM 13...PARASITIC-AWARE FULL PHYSICAL CHIP DESIGN OF LNA RFIC AT 2.45GHZ USING IBM 13...
PARASITIC-AWARE FULL PHYSICAL CHIP DESIGN OF LNA RFIC AT 2.45GHZ USING IBM 13...
 
Analog and digital circuit design in 65 nm CMOS end of the road.docx
Analog and digital circuit design in 65 nm CMOS end of the road.docxAnalog and digital circuit design in 65 nm CMOS end of the road.docx
Analog and digital circuit design in 65 nm CMOS end of the road.docx
 
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
 
IRJET- Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET-  	  Simulation of 10nm Double Gate MOSFET using Visual TCAD ToolIRJET-  	  Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET- Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
 
VLSIM2.pptx
VLSIM2.pptxVLSIM2.pptx
VLSIM2.pptx
 
ICIECA 2014 Paper 23
ICIECA 2014 Paper 23ICIECA 2014 Paper 23
ICIECA 2014 Paper 23
 
Ch7 lecture slides Chenming Hu Device for IC
Ch7 lecture slides Chenming Hu Device for ICCh7 lecture slides Chenming Hu Device for IC
Ch7 lecture slides Chenming Hu Device for IC
 
P1121110526
P1121110526P1121110526
P1121110526
 
Doering
DoeringDoering
Doering
 
mosfet scaling_
mosfet scaling_mosfet scaling_
mosfet scaling_
 
Lect2 up110 (100324)
Lect2 up110 (100324)Lect2 up110 (100324)
Lect2 up110 (100324)
 
A Low Noise Two Stage Operational Amplifier on 45nm CMOS Process
A Low Noise Two Stage Operational Amplifier on 45nm CMOS ProcessA Low Noise Two Stage Operational Amplifier on 45nm CMOS Process
A Low Noise Two Stage Operational Amplifier on 45nm CMOS Process
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
 

Recently uploaded

Call Girls Madurai 8824825030 Escort In Madurai service 24X7
Call Girls Madurai 8824825030 Escort In Madurai service 24X7Call Girls Madurai 8824825030 Escort In Madurai service 24X7
Call Girls Madurai 8824825030 Escort In Madurai service 24X7
Poonam Singh
 
🔥Independent Call Girls In Pune 💯Call Us 🔝 7014168258 🔝💃Independent Pune Esco...
🔥Independent Call Girls In Pune 💯Call Us 🔝 7014168258 🔝💃Independent Pune Esco...🔥Independent Call Girls In Pune 💯Call Us 🔝 7014168258 🔝💃Independent Pune Esco...
🔥Independent Call Girls In Pune 💯Call Us 🔝 7014168258 🔝💃Independent Pune Esco...
AK47
 
🚺ANJALI MEHTA High Profile Call Girls Ahmedabad 💯Call Us 🔝 9352988975 🔝💃Top C...
🚺ANJALI MEHTA High Profile Call Girls Ahmedabad 💯Call Us 🔝 9352988975 🔝💃Top C...🚺ANJALI MEHTA High Profile Call Girls Ahmedabad 💯Call Us 🔝 9352988975 🔝💃Top C...
🚺ANJALI MEHTA High Profile Call Girls Ahmedabad 💯Call Us 🔝 9352988975 🔝💃Top C...
dulbh kashyap
 
🔥Young College Call Girls Chandigarh 💯Call Us 🔝 7737669865 🔝💃Independent Chan...
🔥Young College Call Girls Chandigarh 💯Call Us 🔝 7737669865 🔝💃Independent Chan...🔥Young College Call Girls Chandigarh 💯Call Us 🔝 7737669865 🔝💃Independent Chan...
🔥Young College Call Girls Chandigarh 💯Call Us 🔝 7737669865 🔝💃Independent Chan...
sonamrawat5631
 
Mahipalpur Call Girls Delhi 🔥 9711199012 ❄- Pick Your Dream Call Girls with 1...
Mahipalpur Call Girls Delhi 🔥 9711199012 ❄- Pick Your Dream Call Girls with 1...Mahipalpur Call Girls Delhi 🔥 9711199012 ❄- Pick Your Dream Call Girls with 1...
Mahipalpur Call Girls Delhi 🔥 9711199012 ❄- Pick Your Dream Call Girls with 1...
simrangupta87541
 
Better Builder Magazine, Issue 49 / Spring 2024
Better Builder Magazine, Issue 49 / Spring 2024Better Builder Magazine, Issue 49 / Spring 2024
Better Builder Magazine, Issue 49 / Spring 2024
Better Builder Magazine
 
College Call Girls Kolkata 🔥 7014168258 🔥 Real Fun With Sexual Girl Available...
College Call Girls Kolkata 🔥 7014168258 🔥 Real Fun With Sexual Girl Available...College Call Girls Kolkata 🔥 7014168258 🔥 Real Fun With Sexual Girl Available...
College Call Girls Kolkata 🔥 7014168258 🔥 Real Fun With Sexual Girl Available...
Ak47
 
SELENIUM CONF -PALLAVI SHARMA - 2024.pdf
SELENIUM CONF -PALLAVI SHARMA - 2024.pdfSELENIUM CONF -PALLAVI SHARMA - 2024.pdf
SELENIUM CONF -PALLAVI SHARMA - 2024.pdf
Pallavi Sharma
 
Basic principle and types Static Relays ppt
Basic principle and  types  Static Relays pptBasic principle and  types  Static Relays ppt
Basic principle and types Static Relays ppt
Sri Ramakrishna Institute of Technology
 
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdfAsymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
felixwold
 
Call Girls Goa (india) ☎️ +91-7426014248 Goa Call Girl
Call Girls Goa (india) ☎️ +91-7426014248 Goa Call GirlCall Girls Goa (india) ☎️ +91-7426014248 Goa Call Girl
Call Girls Goa (india) ☎️ +91-7426014248 Goa Call Girl
sapna sharmap11
 
Butterfly Valves Manufacturer (LBF Series).pdf
Butterfly Valves Manufacturer (LBF Series).pdfButterfly Valves Manufacturer (LBF Series).pdf
Butterfly Valves Manufacturer (LBF Series).pdf
Lubi Valves
 
Call Girls In Lucknow 🔥 +91-7014168258🔥High Profile Call Girl Lucknow
Call Girls In Lucknow 🔥 +91-7014168258🔥High Profile Call Girl LucknowCall Girls In Lucknow 🔥 +91-7014168258🔥High Profile Call Girl Lucknow
Call Girls In Lucknow 🔥 +91-7014168258🔥High Profile Call Girl Lucknow
yogita singh$A17
 
SPICE PARK JUL2024 ( 6,866 SPICE Models )
SPICE PARK JUL2024 ( 6,866 SPICE Models )SPICE PARK JUL2024 ( 6,866 SPICE Models )
SPICE PARK JUL2024 ( 6,866 SPICE Models )
Tsuyoshi Horigome
 
Hot Call Girls In Bangalore ✔ 9079923931 ✔ Hi I Am Divya Vip Call Girl Servic...
Hot Call Girls In Bangalore ✔ 9079923931 ✔ Hi I Am Divya Vip Call Girl Servic...Hot Call Girls In Bangalore ✔ 9079923931 ✔ Hi I Am Divya Vip Call Girl Servic...
Hot Call Girls In Bangalore ✔ 9079923931 ✔ Hi I Am Divya Vip Call Girl Servic...
Banerescorts
 
Sri Guru Hargobind Ji - Bandi Chor Guru.pdf
Sri Guru Hargobind Ji - Bandi Chor Guru.pdfSri Guru Hargobind Ji - Bandi Chor Guru.pdf
Sri Guru Hargobind Ji - Bandi Chor Guru.pdf
Balvir Singh
 
The Differences between Schedule 40 PVC Conduit Pipe and Schedule 80 PVC Conduit
The Differences between Schedule 40 PVC Conduit Pipe and Schedule 80 PVC ConduitThe Differences between Schedule 40 PVC Conduit Pipe and Schedule 80 PVC Conduit
The Differences between Schedule 40 PVC Conduit Pipe and Schedule 80 PVC Conduit
Guangdong Ctube Industry Co., Ltd.
 
Intuit CRAFT demonstration presentation for sde
Intuit CRAFT demonstration presentation for sdeIntuit CRAFT demonstration presentation for sde
Intuit CRAFT demonstration presentation for sde
ShivangMishra54
 
High Profile Call Girls Ahmedabad 🔥 7737669865 🔥 Real Fun With Sexual Girl Av...
High Profile Call Girls Ahmedabad 🔥 7737669865 🔥 Real Fun With Sexual Girl Av...High Profile Call Girls Ahmedabad 🔥 7737669865 🔥 Real Fun With Sexual Girl Av...
High Profile Call Girls Ahmedabad 🔥 7737669865 🔥 Real Fun With Sexual Girl Av...
dABGO KI CITy kUSHINAGAR Ak47
 
INTRODUCTION TO ARTIFICIAL INTELLIGENCE BASIC
INTRODUCTION TO ARTIFICIAL INTELLIGENCE BASICINTRODUCTION TO ARTIFICIAL INTELLIGENCE BASIC
INTRODUCTION TO ARTIFICIAL INTELLIGENCE BASIC
GOKULKANNANMMECLECTC
 

Recently uploaded (20)

Call Girls Madurai 8824825030 Escort In Madurai service 24X7
Call Girls Madurai 8824825030 Escort In Madurai service 24X7Call Girls Madurai 8824825030 Escort In Madurai service 24X7
Call Girls Madurai 8824825030 Escort In Madurai service 24X7
 
🔥Independent Call Girls In Pune 💯Call Us 🔝 7014168258 🔝💃Independent Pune Esco...
🔥Independent Call Girls In Pune 💯Call Us 🔝 7014168258 🔝💃Independent Pune Esco...🔥Independent Call Girls In Pune 💯Call Us 🔝 7014168258 🔝💃Independent Pune Esco...
🔥Independent Call Girls In Pune 💯Call Us 🔝 7014168258 🔝💃Independent Pune Esco...
 
🚺ANJALI MEHTA High Profile Call Girls Ahmedabad 💯Call Us 🔝 9352988975 🔝💃Top C...
🚺ANJALI MEHTA High Profile Call Girls Ahmedabad 💯Call Us 🔝 9352988975 🔝💃Top C...🚺ANJALI MEHTA High Profile Call Girls Ahmedabad 💯Call Us 🔝 9352988975 🔝💃Top C...
🚺ANJALI MEHTA High Profile Call Girls Ahmedabad 💯Call Us 🔝 9352988975 🔝💃Top C...
 
🔥Young College Call Girls Chandigarh 💯Call Us 🔝 7737669865 🔝💃Independent Chan...
🔥Young College Call Girls Chandigarh 💯Call Us 🔝 7737669865 🔝💃Independent Chan...🔥Young College Call Girls Chandigarh 💯Call Us 🔝 7737669865 🔝💃Independent Chan...
🔥Young College Call Girls Chandigarh 💯Call Us 🔝 7737669865 🔝💃Independent Chan...
 
Mahipalpur Call Girls Delhi 🔥 9711199012 ❄- Pick Your Dream Call Girls with 1...
Mahipalpur Call Girls Delhi 🔥 9711199012 ❄- Pick Your Dream Call Girls with 1...Mahipalpur Call Girls Delhi 🔥 9711199012 ❄- Pick Your Dream Call Girls with 1...
Mahipalpur Call Girls Delhi 🔥 9711199012 ❄- Pick Your Dream Call Girls with 1...
 
Better Builder Magazine, Issue 49 / Spring 2024
Better Builder Magazine, Issue 49 / Spring 2024Better Builder Magazine, Issue 49 / Spring 2024
Better Builder Magazine, Issue 49 / Spring 2024
 
College Call Girls Kolkata 🔥 7014168258 🔥 Real Fun With Sexual Girl Available...
College Call Girls Kolkata 🔥 7014168258 🔥 Real Fun With Sexual Girl Available...College Call Girls Kolkata 🔥 7014168258 🔥 Real Fun With Sexual Girl Available...
College Call Girls Kolkata 🔥 7014168258 🔥 Real Fun With Sexual Girl Available...
 
SELENIUM CONF -PALLAVI SHARMA - 2024.pdf
SELENIUM CONF -PALLAVI SHARMA - 2024.pdfSELENIUM CONF -PALLAVI SHARMA - 2024.pdf
SELENIUM CONF -PALLAVI SHARMA - 2024.pdf
 
Basic principle and types Static Relays ppt
Basic principle and  types  Static Relays pptBasic principle and  types  Static Relays ppt
Basic principle and types Static Relays ppt
 
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdfAsymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
 
Call Girls Goa (india) ☎️ +91-7426014248 Goa Call Girl
Call Girls Goa (india) ☎️ +91-7426014248 Goa Call GirlCall Girls Goa (india) ☎️ +91-7426014248 Goa Call Girl
Call Girls Goa (india) ☎️ +91-7426014248 Goa Call Girl
 
Butterfly Valves Manufacturer (LBF Series).pdf
Butterfly Valves Manufacturer (LBF Series).pdfButterfly Valves Manufacturer (LBF Series).pdf
Butterfly Valves Manufacturer (LBF Series).pdf
 
Call Girls In Lucknow 🔥 +91-7014168258🔥High Profile Call Girl Lucknow
Call Girls In Lucknow 🔥 +91-7014168258🔥High Profile Call Girl LucknowCall Girls In Lucknow 🔥 +91-7014168258🔥High Profile Call Girl Lucknow
Call Girls In Lucknow 🔥 +91-7014168258🔥High Profile Call Girl Lucknow
 
SPICE PARK JUL2024 ( 6,866 SPICE Models )
SPICE PARK JUL2024 ( 6,866 SPICE Models )SPICE PARK JUL2024 ( 6,866 SPICE Models )
SPICE PARK JUL2024 ( 6,866 SPICE Models )
 
Hot Call Girls In Bangalore ✔ 9079923931 ✔ Hi I Am Divya Vip Call Girl Servic...
Hot Call Girls In Bangalore ✔ 9079923931 ✔ Hi I Am Divya Vip Call Girl Servic...Hot Call Girls In Bangalore ✔ 9079923931 ✔ Hi I Am Divya Vip Call Girl Servic...
Hot Call Girls In Bangalore ✔ 9079923931 ✔ Hi I Am Divya Vip Call Girl Servic...
 
Sri Guru Hargobind Ji - Bandi Chor Guru.pdf
Sri Guru Hargobind Ji - Bandi Chor Guru.pdfSri Guru Hargobind Ji - Bandi Chor Guru.pdf
Sri Guru Hargobind Ji - Bandi Chor Guru.pdf
 
The Differences between Schedule 40 PVC Conduit Pipe and Schedule 80 PVC Conduit
The Differences between Schedule 40 PVC Conduit Pipe and Schedule 80 PVC ConduitThe Differences between Schedule 40 PVC Conduit Pipe and Schedule 80 PVC Conduit
The Differences between Schedule 40 PVC Conduit Pipe and Schedule 80 PVC Conduit
 
Intuit CRAFT demonstration presentation for sde
Intuit CRAFT demonstration presentation for sdeIntuit CRAFT demonstration presentation for sde
Intuit CRAFT demonstration presentation for sde
 
High Profile Call Girls Ahmedabad 🔥 7737669865 🔥 Real Fun With Sexual Girl Av...
High Profile Call Girls Ahmedabad 🔥 7737669865 🔥 Real Fun With Sexual Girl Av...High Profile Call Girls Ahmedabad 🔥 7737669865 🔥 Real Fun With Sexual Girl Av...
High Profile Call Girls Ahmedabad 🔥 7737669865 🔥 Real Fun With Sexual Girl Av...
 
INTRODUCTION TO ARTIFICIAL INTELLIGENCE BASIC
INTRODUCTION TO ARTIFICIAL INTELLIGENCE BASICINTRODUCTION TO ARTIFICIAL INTELLIGENCE BASIC
INTRODUCTION TO ARTIFICIAL INTELLIGENCE BASIC
 

Cmos vlsi nalini

  • 2. 2 CONTENTS 1. What is scaling? 2. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors for device parameters 7. Implications of scaling on design 8. Limitations of scaling 9. Observations 10.Summary
  • 3. 3 Scaling of MOS Circuits 1.What is Scaling? Proportional adjustment of the dimensions of an electronic device while maintaining the electrical properties of the device, results in a device either larger or smaller than the un-scaled device. Then Which way do we scale the devices for VLSI? BIG and SLOW … or SMALL and FAST? What do we gain? 2.Why Scaling?... Scale the devices and wires down, Make the chips ‘fatter’ – functionality, intelligence, memory – and – faster, Make more chips per wafer – increased yield, Make the end user Happy by giving more for less and therefore, make MORE MONEY!! 3.FoM for Scaling Impact of scaling is characterized in terms of several indicators: o Minimum feature size o Number of gates on one chip o Power dissipation o Maximum operational frequency o Die size o Production cost Many of the FoMs can be improved by shrinking the dimensions of transistors and interconnections. Shrinking the separation between features – transistors and wires Adjusting doping levels and supply voltages. 3.1 Technology Scaling Goals of scaling the dimensions by 30%: Reduce gate delay by 30% (increase operating frequency by 43%) Double transistor density Reduce energy per transition by 65% (50% power savings @ 43% increase in frequency) Die size used to increase by 14% per generation Technology generation spans 2-3 years
  • 4. 4 Figure1 to Figure 5 illustrates the technology scaling in terms of minimum feature size, transistor count, prapogation delay, power dissipation and density and technology generations. 1 9 6 0 1 9 7 0 1 9 8 0 1 9 9 0 2 0 0 0 2 0 1 0 1 0 -2 1 0 -1 1 0 0 1 0 1 1 0 2 Y e a r MinimumFeatureSize(micron) Figure-1:Technology Scaling (1) Figure-2:Technology Scaling (2)
  • 5. 5 Propagation Delay Figure-3:Technology Scaling (3) (a) Power dissipation vs. year. 95908580 0.01 0.1 1 10 100 Year PowerDissipation(W) x4 / 3 years MPU DSP x1.4 / 3 years Scaling Factor κ (normalized by 4 µm design rule ) 101 1 10 100 1000 ∝ κ 3 PowerDensity(mW/mm2) ∝ κ 0.7 (b) Power density vs. scaling factor. Figure-4:Technology Scaling (4)
  • 6. 6 Technology Generations Figure-5:Technology generation 4. International Technology Roadmap for Semiconductors (ITRS) Table 1 lists the parameters for various technologies as per ITRS. Table 1: ITRS
  • 7. 7 5.Scaling Models Full Scaling (Constant Electrical Field) Ideal model – dimensions and voltage scale together by the same scale factor Fixed Voltage Scaling Most common model until recently – only the dimensions scale, voltages remain constant General Scaling Most realistic for today’s situation – voltages and dimensions scale with different factors 6.Scaling Factors for Device Parameters Device scaling modeled in terms of generic scaling factors: 1/α and 1/β • 1/β: scaling factor for supply voltage VDD and gate oxide thickness D • 1/α: linear dimensions both horizontal and vertical dimensions Why is the scaling factor for gate oxide thickness different from other linear horizontal and vertical dimensions? Consider the cross section of the device as in Figure 6,various parameters derived are as follows. Figure-6:Technology generation
  • 8. 8 • Gate area Ag Where L: Channel length and W: Channel width and both are scaled by 1/α Thus Ag is scaled up by 1/α2 • Gate capacitance per unit area Co or Cox Cox = εox/D Where εox is permittivity of gate oxide(thin-ox)= εinsεo and D is the gate oxide thickness scaled by 1/β Thus Cox is scaled up by • Gate capacitance Cg Thus Cg is scaled up by β* 1/ α2 =β/ α2 • Parasitic capacitance Cx Cx is proportional to Ax/d where d is the depletion width around source or drain and scaled by 1/ α Ax is the area of the depletion region around source or drain, scaled by (1/ α2 ). Thus Cx is scaled up by {1/(1/α)}* (1/ α2 ) =1/ α • Carrier density in channel Qon Qon = Co * Vgs where Qon is the average charge per unit area in the ‘on’ state. Co is scaled by β and Vgs is scaled by 1/ β Thus Qon is scaled by 1 • Channel Resistance Ron Where µ = channel carrier mobility and assumed constant WLAg *= β β =       1 1 WLCC og **= µ* 1 * on on QW L R =
  • 9. 9 Thus Ron is scaled by 1. • Gate delay Td Td is proportional to Ron*Cg Td is scaled by • Maximum operating frequency fo fo is inversely proportional to delay Td and is scaled by • Saturation current Idss Both Vgs and Vt are scaled by (1/ β). Therefore, Idss is scaled by • Current density J Current density, where A is cross sectional area of the Channel in the “on” state which is scaled by (1/ α2 ). So, J is scaled by • Switching energy per gate Eg • So Eg is scaled by 22 * 1 α β β α = g DDo o C VC L W f µ *= β α α β 2 2 1 =      ( )2 ** 2 tgs o dss VV L WC I −= µ ββ β 11 * 2 =      A I J dss = β α α β 2 2 1 1 = 2 2 1 DDgg VCE = βαβα β 222 11 * =     
  • 10. 10 • Power dissipation per gate Pg Pg comprises of two components: static component Pgs and dynamic component Pgd: Where, the static power component is given by: And the dynamic component by: Since VDD scales by (1/β) and Ron scales by 1, Pgs scales by (1/β2 ). Since Eg scales by (1/α2 β) and fo by (α2 /β), Pgd also scales by (1/β2 ). Therefore, Pg scales by (1/β2 ). • Power dissipation per unit area Pa • Power – speed product PT 6.1 Scaling Factors …Summary Various device parameters for different scaling models are listed in Table 2 below. Table 2: Device parameters for scaling models NOTE: for Constant E: β=α; for Constant V: β=1 gdgsg PPP += on DD gs R V P 2 = oggd fEP = 2 2 2 2 1 1 β α α β =             == g g a A P P βαα β β 222 11 * =      == dgT TPP Parameters Description General (Combined V and Dimension) Constant E Constant V VDD Supply voltage 1/β 1/α 1 L Channel length 1/α 1/α 1/α W Channel width 1/α 1/α 1/α D Gate oxide thickness 1/β 1/α 1 Ag Gate area 1/α 2 1/α 2 1/α 2 Co (or Cox) Gate capacitance per unit area β α 1 Cg Gate capacitance β/α2 1/α 1/α 2 Cx Parsitic capacitance 1/α 1/α 1/α Qon Carrier density 1 1 1 Ron Channel resistance 1 1 1 Idss Saturation current 1/β 1/α 1
  • 11. 11 7.Implications of Scaling Improved Performance Improved Cost Interconnect Woes Power Woes Productivity Challenges Physical Limits Parameters Description General (Combined V and Dimension) Constant E Constant V Ac Conductor cross section area 1/α 2 1/α 2 1/α 2 J Current density α2 / β α α2 Vg Logic 1 level 1 / β 1 / α 1 Eg Switching energy 1 / α2 β 1 / α3 1/α 2 Pg Power dissipation per gate 1 / β 2 1/α 2 1 N Gates per unit area α2 α2 α2 Pa Power dissipation per unit area α2 / β2 1 α2 Td Gate delay β / α2 1 / α 1/α 2 fo Max. operating frequency α2 / β α α2 PT Power speed product 1 / α2 β 1 / α3 1/α 2
  • 12. 12 7.1Cost Improvement – Moore’s Law is still going strong as illustrated in Figure 7. Figure-7:Technology generation 7.2:Interconnect Woes • Scaled transistors are steadily improving in delay, but scaled wires are holding constant or getting worse. • SIA made a gloomy forecast in 1997 – Delay would reach minimum at 250 – 180 nm, then get worse because of wires • But… • For short wires, such as those inside a logic gate, the wire RC delay is negligible. • However, the long wires present a considerable challenge. • Scaled transistors are steadily improving in delay, but scaled wires are holding constant or getting worse. • SIA made a gloomy forecast in 1997 – Delay would reach minimum at 250 – 180 nm, then get worse because of wires • But… • For short wires, such as those inside a logic gate, the wire RC delay is negligible. • However, the long wires present a considerable challenge. Figure 8 illustrates delay Vs. generation in nm for different materials. Figure-8:Technology generation
  • 13. 13 7.3 Reachable Radius • We can’t send a signal across a large fast chip in one cycle anymore • But the microarchitect can plan around this as shown in Figure 9. – Just as off-chip memory latencies were tolerated Figure-9:Technology generation 7.4 Dynamic Power • Intel VP Patrick Gelsinger (ISSCC 2001) – If scaling continues at present pace, by 2005, high speed processors would have power density of nuclear reactor, by 2010, a rocket nozzle, and by 2015, surface of sun. – “Business as usual will not work in the future.” • Attention to power is increasing(Figure 10) Chip size Scaling of reachable radius
  • 14. 14 Figure-10:Technology generation 7.5 Static Power • VDD decreases – Save dynamic power – Protect thin gate oxides and short channels – No point in high value because of velocity saturation. • Vt must decrease to maintain device performance • But this causes exponential increase in OFF leakage A Major future challenge(Figure 11) Moore(03) Figure-11:Technology generation
  • 15. 15 7.6 Productivity • Transistor count is increasing faster than designer productivity (gates / week) – Bigger design teams • Up to 500 for a high-end microprocessor – More expensive design cost – Pressure to raise productivity • Rely on synthesis, IP blocks – Need for good engineering managers 7.7 Physical Limits o Will Moore’s Law run out of steam? Can’t build transistors smaller than an atom… o Many reasons have been predicted for end of scaling Dynamic power Sub-threshold leakage, tunneling Short channel effects Fabrication costs Electro-migration Interconnect delay o Rumors of demise have been exaggerated 8. Limitations of Scaling Effects, as a result of scaling down- which eventually become severe enough to prevent further miniaturization. o Substrate doping o Depletion width o Limits of miniaturization
  • 16. 16 o Limits of interconnect and contact resistance o Limits due to sub threshold currents o Limits on logic levels and supply voltage due to noise o Limits due to current density 8.1 Substrate doping o Substrate doping o Built-in(junction) potential VB depends on substrate doping level – can be neglected as long as VB is small compared to VDD. o As length of a MOS transistor is reduced, the depletion region width –scaled down to prevent source and drain depletion region from meeting. o the depletion region width d for the junctions is o ε si relative permittivity of silicon o ε 0 permittivity of free space(8.85*10-14 F/cm) o V effective voltage across the junction Va + Vb o q electron charge o NB doping level of substrate o Va maximum value Vdd-applied voltage o Vb built in potential and 8.2 Depletion width • N B is increased to reduce d , but this increases threshold voltage Vt -against trends for scaling down. • Maximum value of N B (1.3*1019 cm-3 , at higher values, maximum electric field applied to gate is insufficient and no channel is formed. • N B maintained at satisfactory level in the channel region to reduce the above problem. • Emax maximum electric field induced in the junction. 1 2 0V Nq d B si ξξ =       = i D i B B n N n N q KT V ln d V E 2 max = α αln
  • 17. 17 If N B is inreased by α Va =0 Vb increased by ln α and d is decreased by • Electric field across the depletion region is increased by 1/ • Reach a critical level Ecrit with increasing N B Where Figure 12 , Figure 13 and Figure 14 shows the relation between substrate concentration Vs depletion width , Electric field and transit time. Figure 15 demonstrates the interconnect length Vs. propagation delay and Figure 16 oxide thickness Vs. thermal noise. Figure-12:Technology generation α αln       = 2 2 .dE Nq d crit B si ξ ξ )(0 crit B si E Nq d ξξ =
  • 18. 18 Figure-13:Technology generation 8.3 Limits of miniaturization • minimum size of transistor; process tech and physics of the device • Reduction of geometry; alignment accuracy and resolution • Size of transistor measured in terms of channel length L L=2d (to prevent push through) • L determined by NB and Vdd • Minimum transit time for an electron to travel from source to drain is • smaximum carrier drift velocity is approx. Vsat,regardless of supply voltage Evdrift µ= E d V L t drift µ 2 ==
  • 19. 19 Figure-14:Technology generation 8.4 Limits of interconnect and contact resistance • Short distance interconnect- conductor length is scaled by 1/α and resistance is increased by α • For constant field scaling, I is scaled by 1/ α so that IR drop remains constant as a result of scaling.-driving capability/noise margin.
  • 20. 20 Figure-15:Technology generation 8.5 Limits due to subthreshold currents • Major concern in scaling devices. • I sub is directly praportinal exp (Vgs – Vt ) q/KT • As voltages are scaled down, ratio of Vgs-Vt to KT will reduce-so that threshold current increases. • Therefore scaling Vgs and Vt together with Vdd . • Maximum electric field across a depletion region is 8.6 Limits on supply voltage due to noise Decreased inter-feature spacing and greater switching speed –result in noise problems { } dVVE ba /2max +=
  • 21. 21 Figure-16:Technology generation 9. Observations – Device scaling o Gate capacitance per micron is nearly independent of process o But ON resistance * micron improves with process o Gates get faster with scaling (good) o Dynamic power goes down with scaling (good) o Current density goes up with scaling (bad) o Velocity saturation makes lateral scaling unsustainable 9.1 Observations – Interconnect scaling o Capacitance per micron is remaining constant o About 0.2 fF/mm o Roughly 1/10 of gate capacitance o Local wires are getting faster o Not quite tracking transistor improvement o But not a major problem o Global wires are getting slower o No longer possible to cross chip in one cycle 10. Summary • Scaling allows people to build more complex machines – That run faster too • It does not to first order change the difficulty of module design
  • 22. 22 – Module wires will get worse, but only slowly – You don’t think to rethink your wires in your adder, memory Or even your super-scalar processor core • It does let you design more modules • Continued scaling of uniprocessor performance is getting hard -Machines using global resources run into wire limitations -Machines will have to become more explicitly parallel
  • 24. 24 CONTENTS 1. System 2. VLSI design flow 3. Structured design approach 4. Architectural issues 5. MOSFET as switch for logic functionality 6. Circuit Families Restoring Logic: CMOS and its variants - NMOS and Bi CMOS Other circuit variants NMOS gates with depletion (zero -threshold) pull up Bi-CMOS gates 7. Switch logic: Pass Transistor and Transmission gate (TG) 8. Examples of Structured Design MUX DMUX D Latch and Flop A general logic function block
  • 25. 25 1.What is a System? A system is a set of interacting or interdependent entities forming and integrate whole. Common characteristics of a system are o Systems have structure - defined by parts and their composition o Systems have behavior – involves inputs, processing and outputs (of material, information or energy) o Systems have interconnectivity the various parts of the system functional as well as structural relationships between each other 1.1Decomposition of a System: A Processor o 5. VLSI Design Flow • The electronics industry has achieved a phenomenal growth –mainly due to the rapid advances in integration technologies, large scale systems design-in short due to VLSI. • Number applications of integrated circuits in high-performance computing, telecommunications, and consumer electronics has been rising steadily. • Current leading-edge technology trend –expected to continue with very important implications on VLSI and systems design. • The design process, at various levels, is evolutionary in nature. • Y-Chart (first introduced by D. Gajski) as shown in Figure1 illustrates the design flow for mast logic chips, using design activities. • Three different axes (domains) which resemble the letter Y. • Three major domains, namely Behavioral domain Structural domain
  • 26. 26 Geometrical domain • Design flow starts from the algorithm that describes the behavior of target chip. Figure 1. Typical VLSI design flow in three domains(Y-chart) VLSI design flow, taking in to account the various representations, or abstractions of design are Behavioural,logic,circuit and mask layout. Verification of design plays very important role in every step during process. Two approaches for design flow as shown in Figure 2 are Top-down Bottom-up Top-down design flow- excellent design process control In reality, both top-down and bottom-up approaches have to be combined. Figure 3 explains the typical full custom design flow.
  • 27. 27 Figure 2. Typical VLSI design flow
  • 28. 28 Figure 3. Typical ASIC/Custom design flow
  • 29. 29 3 Structured Design Approach • Design methodologies and structured approaches developed with complex hardware and software. • Regardless of the actual size of the project, basic principles of structured design- improve the prospects of success. • Classical techniques for reducing the complexity of IC design are: Hierarchy Regularity Modularity Locality
  • 30. 30 Figure 4-Structured Design Approach –Hierarchy Figure5-.Structured Design Approach –Regularity
  • 31. 31 • Design of array structures consisting of identical cells.-such as parallel multiplication array. • Exist at all levels of abstraction: transistor level-uniformly sized. logic level- identical gate structures • 2:1 MUX, D-F/F- inverters and tri state buffers • Library-well defined and well-characterized basic building block. • Modularity: enables parallelization and allows plug-and-play • Locality: Internals of each module unimportant to exterior modules and internal details remain at local level. Figure 4 and Figure 5 illustrates these design approaches with an example. 4 Architectural issues • Design time increases exponentially with increased complexity • Define the requirements • Partition the overall architecture into subsystems. • Consider the communication paths • Draw the floor plan • Aim for regularity and modularity • convert each cell into layout • Carry out DRC check and simulate the performance 5. MOSFET as a Switch
  • 32. 32 • We can view MOS transistors as electrically controlled switches • Voltage at gate controls path from source to drain 5.1 Parallel connection of Switches..
  • 33. 33 5.2 Series connection of Switches.. 5.3 Series and parallel connection of Switches.. (a) a b a b g1 g2 0 0 a b 0 1 a b 1 0 a b 1 1 OFF OFF OFF ON (b) a b a b g1 g2 0 0 a b 0 1 a b 1 0 a b 1 1 ON OFF OFF OFF (c) a b a b g1 g2 0 0 OFF ON ON ON (d) ON ON ON OFF a b 0 a b 1 a b 11 0 1 a b 0 0 a b 0 a b 1 a b 11 0 1 a b g1 g2
  • 34. 34 6. Circuit Families : Restoring logic CMOS INVERTER A Y 0 1 A Y 0 1 0 A Y 0 1 1 0 A Y A Y A Y V DD A Y GND V DD A= 1 Y= 0 GND ON OFF VDD A=0 Y=1 GND OFF ON
  • 35. 35 6.1 NAND gate Design..
  • 36. 36 A B Y 0 0 1 0 1 1 0 1 1 A B Y 0 0 1 0 1 1 1 0 1 1 A B Y 0 0 1 0 1 1 1 0 1 1 1 0 NAND gate Design.. A=0 B=0 Y=1 OFF ON ON OFF A=0 B=1 Y=1 OFF OFF ON ON A=1 B=0 Y=1 ON ON OFF OFF
  • 37. 37 6.2 NOR gate Design.. A B Y C
  • 39. 39 6.3 CMOS Properties Pull-up OFF Pull-up ON Pull-down OFF Z (float) 1 Pull-down ON 0 X (crowbar) • Complementary CMOS gates always produce 0 or 1 • Ex: NAND gate • Series nMOS: Y=0 when both inputs are 1 • Thus Y=1 when either input is 0 • Requires parallel pMOS • Rule of Conduction Complements pMOS pull-up network output inputs nMOS pull-down network
  • 40. 40 • Pull-up network is complement of pull-down • Parallel -> series, series -> parallel • Output signal strength is independent of input.-level restoring • Restoring logic. Ouput signal strength is either Voh (output high) or Vol. (output low). • Ratio less logic :output signal strength is independent of pMOS device size to nMOS size ratio. • significant current only during the transition from one state to another and - hence power is conserved.. • Rise and fall transition times are of the same order, • Very high levels of integration, • High performance. 6.4 Complex gates..
  • 41. 41
  • 42. 42
  • 43. 43 6.5 Complex gates AOI.. (AND-AND-OR-INVERT, AOI22)Y A B C D= + A B C D A B C D A B C D A B C D B D Y A C A C A B C D B D Y (a) (c) (e) (b) (d) (f) ( )Y A B C D= + + A B Y C D DC B A A B C D Y A B C Y A B C C A B A B C D A C B D 2 2 1 4 44 2 2 2 2 4 4 4 4 gA = 6/3 gB = 6/3 gC = 5/3 p = 7/3 gA = 6/3 gB = 6/3 gC = 6/3 p = 12/3 gD = 6/3 YA A Y gA = 3/3 p = 3/3 2 1 YY unit inverter AOI21 AOI22 A C D E Y B Y B C A D E A B C D E gA = 5/3 gB = 8/3 gC = 8/3 gD = 8/3 2 2 2 22 6 6 6 6 3 p = 16/3 gE = 8/3 Complex AOI Y A B C= + Y A B C D= + ( )Y A B C D E= + +Y A=
  • 44. 44 6.6 Circuit Families : Restoring logic CMOS Inverter- Stick diagram 6.7 Restoring logic CMOS Variants: nMOS Inverter-stick diagram • Basic inverter circuit: load replaced by depletion mode transistor • With no current drawn from output, the current Ids for both transistor must be same. • For the depletion mode transistor, gate is connected to the source so it is always on and only the characteristic curve Vgs=0 is relevant.
  • 45. 45 • Depletion mode is called pull-up and the enhancement mode device pull- down. • Obtain the transfer characteristics. • As Vin exceeds the p.d. threshold voltage current begins to flow, Vout thus decreases and further increase will cause p.d transistor to come out of saturation and become resistive. • p.u transistor is initially resistive as the p.d is turned on. • Point at which Vout = Vin is denoted as Vinv • Can be shifted by variation of the ratio of pull-up to pull-down resistances –Zp.u / Zp.d • Z- ratio of channel length to width for each transistor For 8:1 nMOS Inverter Z p.u. = L p.u. / W p.u =8 R p.u = Z p.u. * Rs =80K similarly R p.d = Z p.d * Rs =10K Power dissipation(on) Pd = V2 /Rp.u + Rp.d =0.28mV Input capacitance = 1 Cg For 4:1 nMOS Inverter Z p.u. = L p.u. / W p.u =4 R p.u = Z p.u. * Rs =40K similarly R p.d = Z p.d * Rs =5K Power dissipation(on) Pd = V2 /Rp.u + Rp.d =0.56mV Input capacitance = 2Cg
  • 46. 46 6.8Restoring logic CMOS Variants: BiCMOS Inverter-stick diagram • A known deficiency of MOS technology is its limited load driving capabilities (due to limited current sourcing and sinking abilities of pMOS and nMOS transistors. ) • Output logic levels good-close to rail voltages • High input impedance • Low output impedance • High drive capability but occupies a relatively small area. • High noise margin • Bipolar transistors have • higher gain • better noise characteristics • better high frequency characteristics • BiCMOS gates can be an efficient way of speeding up VLSI circuits • CMOS fabrication process can be extended for BiCMOS • Example Applications CMOS- Logic BiCMOS- I/O and driver circuits ECL- critical high speed parts of the system
  • 47. 47 6.9 Circuit Families : Restoring logic CMOS NAND gate 6.10 Restoring logic CMOS Variants: nMOS NAND gate
  • 48. 48 6.11 Restoring logic CMOS Variants: BiCMOS NAND gate • For nMOS Nand-gate, the ratio between pull-up and sum of all pull-downs must be 4:1. • nMOS Nand-gate area requirements are considerably greater than corresponding nMOS inverter • nMOS Nand-gate delay is equal to number of input times inverter delay. • Hence nMOS Nand-gates are used very rarely • CMOS Nand-gate has no such restrictions • BiCMOS gate is more complex and has larger fan-out. 7.Circuit Families :Switch logic: Pass Transistor
  • 49. 49 7.1 Switch logic: Pass Transistor g s d g = 0 s d g = 1 s d 0 strong 0 Input Output 1 degraded 1 g s d g = 0 s d g = 1 0 degraded 0 Input Output g = 1 g = 1 g = 0 g = 0
  • 50. 50 7.1 Switch logic: Pass Transistor-nMOS in series 7.2 :Switch logic: Transmission gates VDD VDD Vs =VDD -Vtn VSS Vs =|Vtp | VDD VDD -Vtn VDD-Vtn VDD -Vtn VDD VDD VDD VDD VDD VDD -Vtn VDD -2Vtn
  • 51. 51 g=0, gb=1 a b g=1, gb=0 a b 0 strong0 Input Output 1 strong1 g gb a b a b g gb a b g gb a b g gb g=1, gb=0 g=1, gb=0
  • 52. 52 8 Structured Design-Tristate • Tristate buffer produces Z when not enabled EN A Y 0 0 0 1 1 0 1 1 Tristate buffer produces Z when not enabled EN A Y 0 0 Z 0 1 Z 1 0 0 1 1 1 8.1 Structured Design-Nonrestoring Tristate A Y E N A Y E N E N A Y EN EN
  • 53. 53 8.3 Structured Design-Tristate Inverter • Tristate inverter produces restored output – Violates conduction complement rule – Because we want a Z output A Y EN EN A Y EN A Y EN=0 Y='Z' Y EN=1 Y=A A EN
  • 54. 54 8.4 Structured Design-Multiplexers • 2:1 multiplexer chooses between two inputs 8. 5 Structured Design-Mux Design.. Gate-Level • How many transistors are needed? • How many transistors are needed? 20 S D1 D0 Y 0 X 0 0 0 X 1 1 1 0 X 0 1 1 X 1 S D1 D0 Y 0 X 0 0 X 1 1 0 X 1 1 X 0 1 S D0 D1 Y 1 0 (toomanytransistors)Y SD SD= + 4 D1 D0 S Y 2D1
  • 55. 55 8.6 Structured Design-Mux Design-Transmission Gate • Nonrestoring mux uses two transmission gates – Only 4 transistors Inverting Mux • Inverting multiplexer – Use compound AOI22 – Or pair of tristate inverters • Noninverting multiplexer adds an inverter S S D0 D1 YS S D0 D1 Y S D0 D1 Y 0 1 S Y D0 D1 S S S S S S
  • 56. 56 8.7 Design-4:1 Multiplexer • 4:1 mux chooses one of 4 inputs using two selects Two levels of 2:1 muxes Or four tristates 9 Structured Design-D Latch • When CLK = 1, latch is transparent – D flows through to Q like a buffer • When CLK = 0, the latch is opaque – Q holds its old value independent of D • a.k.a. transparent latch or level-sensitive latch -a latch is level sensitive – a register is edge-triggered – A flip-flop is a bi-stable element – S0 D0 D1 0 1 0 1 0 1 Y S1 D2 D3 D0 D1 D2 D3 Y S1S0 S1S0 S1S0 S1S0 CLK D Q Latch D CLK Q
  • 57. 57 – 9.1 D Latch Design • Multiplexer chooses D or old Q 9.2 D Latch Operation 1 0 D CLK Q CLK CLKCLK CLK DQ Q Q CLK = 1 D Q Q CLK = 0 D Q Q
  • 58. 58 Structured Design-Latch Design • Inverting buffer Restoring No backdriving Fixes either Output noise sensitivity Or diffusion input Inverted output 9.3 Structured Design-D Flip-flop • When CLK rises, D is copied to Q • At all other times, Q holds its value • a.k.a. positive edge-triggered flip-flop, master-slave flip-flop • Structured Design-D Flip-flop Design • Built from master and slave D latches D φ φ X Q D Q φ φ Flop CLK D Q D CLK Q QM CLK CLKCLK CLK Q CLK CLK CLK CLK D Latch Latch D Q QM CLK CLK
  • 59. 59 9.4 D Flip-flop Operation 9.5 Race Condition • Back-to-back flops can malfunction from clock skew – Second flip-flop fires late – Sees first flip-flop change and captures its result – Called hold-time failure or race condition CLK = 1 D CLK = 0 Q D QM QM Q D CLK Q CLK1 D Q1 Flop Flop CLK2 Q2 CLK1 CLK2 Q1 Q2
  翻译: